126A, Erou Iancu Nicolae street, 077190, Bucharest, ROMANIA
Tel:   +40-21-490.85.83; +40-21-490.82.12; +40-21-490.82.03; +40-21-490.84.12;
+40-21-490.82.36
Fax: +40-21-490.82.38
; +40-21-490.85.82
PO-BOX 38-160, 023573 Bucharest, ROMANIA

 

 

Technological Services:
Mask shop (mask fabrication by laser "writing" etc.). Equipment: DWL - 66. [...]

Photolithography. Equipment: Spinner. [...]

Alignment/exposure nanolitography  and nanoimprint. [...]

Electron beam Nanolithography. Equipment: Pattern Generator for Electron Beam Lithography - ELPHY PLUS, RAITH GmbH [...]

Dip pen (nano)lithography. Equipment: Nanoaprinting System. [...]

Electron Beam Deposition (Pt, W, SiO2) EBL/SEM. [...]
Equipment: Electron beam lithography and nanoengineering workstation- Raith e_Line, RAITH GmbH.

Implantare ionica (B, P; 50-120 KeV). Equipment: Ions implantor of medium current ions “VEZUVIU”; [...]

Termical processes (oxidation, diffusion, sinterizing) - Termical processing furnaces LINDBERG; [...]

RTP (Rapid Thermal Processing); [...]

Chemical cleanings and etchings; [...]

Reactive Ion Etching (RIE). Equipment: RIE Plasma Etcher Etchlab 200; [...]

Vacuum Metal Deposition (evaporation, sputtering, electron beam); [...]
- Vacuum evaporator  Balzers –type 500;
- Metal deposition in vacuum ( evaporation , e beam , sputtering);
- Vacuum Metal evaporation by Electron Beam (Al, Ni, Cu, Cr, Au, Pt, Ti, W) - Equpment: EDWARDS;

Low Pressure Chemical Vapour Deposition LPCVD, poli-silicon, Si nitride, doped or no doped Si oxides; [...]
PECVD (Plasma Enhanced CVD); [...]

Mechanical processes: dicing saw, assembling (dice bonding, wire boding etc.). Dicing saw equipment. [...]

Biomolecule deposition (microarrays) - Equipment: Gene Machines OmniGridMicro-NanoPlotter [...]

Characterization Services:

Xray difractometry. High performance last generation diffractometer [...]

UV-VISSpectral elipsometry - SE 800 XUVSpectral Ellipsometer, SENTECH. [...]

Raman spectroscopy - Raman spectrometer - LabRAM HR [...]

Optical spectroscopy UV-, VIS-, NIR-region  - AVANTES- UV-VIS–NIR Spectrometer) [...]
IR Spectrometry/Spectroscopy - FTIR Type Tensor 27 [...]

Near IR Spectrometry - AvaSpec NIR256-2.2 Spectrometer [...]

Near-field Optical Microscopy - Alpha300 S System Near-field Optical Microscope (SNOM) [...]
White light interferometery - optical, non-contact profiling of rough surfaces with interferometric techniques. - White Light Interferometer - WLI (Fogale) [...]
Scanning Probe Microscopy - SPM (Ntegra Aura) – NTMDT [...]
SEM and FEG-SEM:Nova™ NanoSEM 630 [...]
SEM - VEGA II LMU from TESCAN Sro.
Electrochemical characterisations (CV, SWV)- Voltametric techniques equipment TRACELAB 50 [...]
Electrochemical dynamical laboratory - Galvanostat: Voltalab 40, PGZ301 Universal Potentiostat [...]
System for electrochemical impedance spectrometry - Potentiostat/ Galvanostat/FRA [...]
Microarray Scanner - GeneTAC UC4 Microarray Scanner, from Genomic Solutions® Ltd., UK [...]
 
Simulation, Modelling and Computer Aided Design Services:
Microsystems simulation, modelling and design (including microfluidics) [...]
Photonic components simulation, modelling and design; [...]
Microwave and millimeter wave circuits and microsystems design and modeling; [...]

 

Technological Services:

Masks design and manufacturing - Heidelberg DWL 66fs equipment.

Equipment: DWL - 66. The mask writing process with DWL 66fs is achieved trough the help of a 442 - nm He-Cd laser.DWL 66fs has 2 write heads of 2 and 20 mm, which ensure a maximum resolution of 0,6 µm respectively 4 µm. The mask file format can be in any of the following extensions: DXF, HPGL, Gerber, GDSII or CIF. Writing facility: on mask (with dimensions ranging between 2,5" and 6") and on plates (with the diameter bigger or equal to 3"

Photolithography - photoresist displaying.

Equipment: Spinner. Spin coater for resist/polymer thin films in environmental control chamber; spin speed 1000 -10000 rpm, two stage spin process;

Double and simple face exposure and alignment.

Equipment for alignment/exposure nanolitography  and nanoimprint: Double face exposure alignment equipment, UV, nanoprint 4’’-6’’.

Electron Beam Nanolithography (EBL):

Pattern Generator for Electron Beam Lithography - ELPHY PLUS from RAITH GmbH, Germany. ELPHY Plus is a dedicated high end advanced lithography package for SEM. Its pattern processor has been purposely designed to exceed the performance of any standard "off the shelf" DAC PC board for speed and signal quality. It is designed such that short exposure times per pixel are possible with true area exposure speed and high pixel to pixel frequencies up to 6 MHz .
The high speed ELPHY Plus hardware architecture offers lowest noise, best signal quality and stability even at high writing speeds.
ELPHY Plus features: 6 MHz high-speed pattern generation hardware (19 inch DSP Unit decoupled from PC); Fast, noise-reduced, deglitched and high performance 16 bit DAC vector scan beam deflection; 16 bit DAC vector scan beam deflection; 2 ns writing speed resolution; TTL and 100 V blanking signal drivers; 12 bit AD channel for reading detector signal (image acquisition);
TTL signal for FIB/SEM external beam control request;

Scanning Electron Microscope (SEM) - VEGA II LMU from TESCAN Sro.
VEGA\\LMU is a fully PC controlled scanning electron microscope designed for high as well as low vacuum operating. It has the possibility of examination of non-conducting, water containing specimens in their natural state at low vacuum conditions in microscope chamber. It features an innovative and powerful electron optics with four lenses. Resolution: 3nm at 30kV, accelerating voltage: 200V - 30KV, air table for vibration isolation, low vacuum (150 Pa) operation possible.
Scanning speed: From 160 ns to 10 ms per pixel adjustable in steps or continuously.
Image size: Up to 8,192 × 8,192 pixels, adjustable separately for live image (in 4 steps) and for stored images (10 steps), selectable square or 4:3 or 2:1 rectangle

Dip pen (nano)lithography

Nanoaprinting System: Printer that allows the deposition of tracks of different materials (polymers, sol-gel precursors, nanopowder, complex molecules, quantum dots) with a thickness down to 30 nm.
The Dip Pen Nanolithography system (NScriptorTM system) is one of the emerging and promising technologies for realizing structures with sizes from few tens of nanometers (in best conditions – 15 nm) up to several microns. It allows both a bottom-up approach and a top-down one when contructing the nanostructures. The working principle is that of wetting an AFM-type cantilever with an "ink" and writing down onto a substrate, similar with an ink pen that writes on a paper. The process is serial and is quite slow, but can be highly parallelized by using 2D arrays of cantilevers (55,000 such cantilevers on an array) and thus becomes efficient from the speed and throughoutput points of view. At IMT-Bucharest we succeeded in obtaining lines of 115 nm of MHA (16 – Mercaptohexadecanoic Acid) on Gold substrate. The system allows both printing as well as reading of the deposited pattern in an AFM mode. The vehicle for deposition (the "pen") can include pyramidal scanning probe microscope tips, hollow tips, and even tips on thermally actuated cantilevers.

Electron Beam Lithography EBL/SEM - Raith e_Line.

Electron beam lithography and nanoengineering workstation- Raith e_Line from RAITH GmbH, Germany: Raith e_Line is a versatile electron beam lithography system having complied with the specific requirements of interdisciplinary research.
Selected options for nanomanipu-lation, EBID and EBIE expand this system to a versatile nano-engineering workstation. The state-of-the-art e_LiNE electron column matches perfectly with a number of key applications in CNT research, thin film engineering, photonic crystals and EBID.
Basic hardware features: Thermal assisted field emission gun; Cross-over free column with highest beam current density at 2 nm spot size; Laser interferometer stage with 100 mm by 100 mm travel range and 2 nm resolution achieved by closed-loop piezo-positioning; 10 MHZ DSP-controlled digital pattern generator; Optional gas injection system, nanomanipulators, optical microscope, FBMS mode exposure; Minimum line width < 20 nm; Stitching accuracy 40 nm; Overlay accuracy 40 nm.

Ion implatating (B, P; 50-120 KeV);

Ions implantor of medium current ions “VEZUVIU” - Implanting instalation with ions  VEZUVIU 5  type has it’s main destination the realising of doping with B or P process of semiconductors, dielectrics or others materials. Technical caracteristics: Energy area: 35-140 KeV; Implantation dose;

- Boron 100µC/squer cm at ions cluster current  (350±30)µA;
- Phosphorous 100µC/squer cm at ions cluster current  (1000±50)µA;
- Function time in continuous regime: 8 hours;

Termical processing: oxidations, diffusions, sinterizing.

Termical processing furnaces LINDBERG;
Oxidation, diffusion and thermal treatments at high temperatures and at atmospherical pressure it will be executed in “diffusion furnaces” LINDBERG type. Maximum dimension of the substrate:4 inch.
Technical characteristics: Temperature range: 350±12500 C; Temperature control: termocuples; Diffusion processes;
- Dry oxidation;
- Wet oxidation (oxidation using the bubbling system of the water);
- Annealing processes on N2;
- Alignment/sinterizing processes(formation gas 3%H2);
- Deposition processes from liquid source POCl3;
- Deposition processes from solid source BN, B+, P+;

Rapid Thermal Processing (RTP)

RTP (Rapid Thermal Processing) is an alternative to standard furnace annealing. Its advantages include short annealing times (from one second to 3 minutes) and precise control of the annealing profile. The following gases are available: argon, nitrogen, oxygen, NH3 .

APPLICATIONS:
RTA (Rapid Thermal Annealing);
RTO (Rapid Thermal Oxidation);
Diffusion;
Compound semiconductor annealing;
Nitridation, Silicidation;
Crystallization and Densification;

SPECIFICATIONS
The system are available with 3-inch and 4-inch wafer capability. They have been specially developed to meet the requirements of Universities, Research Laboratories, Quality Control and small-scale Production. The high reliability assures low cost of ownership.
The stainless steel cold wall chamber technology provides high process reproducibility under ultra clean and contamination-free environment.
High cooling rates and low memory effect of the process chamber are key characteristics. A high vacuum version (10-6 mbar) is available for cleanest process conditions.
Both pyrometer and thermocouple temperature measurement are standard features. The fast digital PID temperature controller provides high and stable temperature accuracy (± 1°C) over the temperature range. Edge pyrometer viewport insures enhanced temperature control of the susceptor for compound semiconductors and small samples.

PERFORMANCE CHARACTERISTICS

Temperature range: RT to 1250°C;
Ramp rate up to 200°C/s;
Gas mixing capability with mass flow controllers;
Vacuum range: Atmosphere to 10-6 Tor;

Wet Processes: cleanings and etchingsetc.

Chemical compartment for chemical cleanings and etchings: Work area equiped with chemical chimney hood with laminar flux and deionized water with 18MΩ resistivity.Executes: Chemical cleanings processes of the surfaces based on the solvents, acid solutions and basic solutions used in integrated circuits technology.
Technological processes:
- wet etchind of the silicon substrate  (izotrop, anizotrop);
- wet etching of dielectrical layers:silicon oxides, nitrate , polysilicon;
- glass etching;
- metal’s and metal oxide’s etching  (Al, Ni, Cu, Cr, Au, W, Permalloy, Sn, ZnO, ITO);
- electrochemical processes of anodical  oxidation of silicon to obtain porous silicon;
- electrochemical thickness of metals  (Cu, Ni, Au);

Reactive Ion Etching (RIE)

RIE Plasma Etcher Etchlab 200 from SENTECH Instruments GmbH  This is a reactive ion etching equipment, capable of etching silicon, polysilicon, silicon dioxide, silicon nitride. The reactor uses a RF power supply of 13,56MHz and 600W. It is designed for R&D and it supports one wafer at a time. It can use up to four process gases: O2, CF4, SF6, Ar.

Metal deposition in vacuum ( evaporation, e beam, sputtering)

- NEVA- EVD 500A -Vacuum deposition equipment  using  e beam   to obtain metal layers .   The system has a preliminary vacuum pump and diffusion vacuum pump which are used to obtained  an  high vacuum  , there  are also 4 evaporation boats , an e beam system  , a  turn able system  in which the silicon wafer or other substrates are put in order to be  covered The layer thickness possible to be obtained are from 5-10 nm till 2000-3000 nm .Materials used like sources are Al, Cr, Ag, Ti, Ni , Cu, Mo, W, Au and SiO2. It is possible to obtain a layer of 4 components on different substrates like silicon wafers, ceramics , quartz or glass . This equipment has the possibility of thermal treatments before ,in situ and after deposition  at  150degree  centigrade .   

- Vacuum evaporator  Balzers –type 500 -  The equipment uses thermal evaporation of metals in vacuum. The system has 2  vacuum pumps  and 2 evaporating boats which  are heated till incandescence . The cooling system is realized with water . The wafers( or other supports ) are attached to a carousel . This equipment has the possibility of thermal treatments before, in situ and after deposition  at  150degree  centigrade  and also a plasma etch( glow discharge )  for the substrates on which  the metals will be deposited before deposition process . The metals used are Al, Cr, Ni , Cu, Au, SiO, SiO2. Substrates can be silicon wafers , glass , quarts or ceramics .

- Metal e-beam evaporations EDWARDS (Al, Ni, Cu, Cr, Au, Pt, Ti, W)
Instalatie evaporare vid (EDWARDS): - Auto 500 Vacuum Coating System - BOC Edwards Auto 500 box chamber system is a versatile front loading thin film system for R&D or pre-production. It allows electron-beam and magnetron plasma sputtering applications to be performed without breaking the vacuum, in a large 500mm x 500mm chamber with a 260mm-diameter workholder plate. Main features: • Up to 6 coatings in a single vaccum process (4 e-beam, and 2 sputtering) • Coating (target) materials: Al, Ni, Cr, Au, Pt, etc • Substrate can be heated up to 250 deg.C • Optional substrate plasma pre-cleaning • Advanced process monitoring and Residual Gas Analysers • Standard Diffusion and Turbo pumping; ultimate vacuum; 7 x 10-7 mbar • Automatic PLC operated vacuum control system with touch screen display.

Low Pressure Chemical Vapor Deposition - LPCVD

LPCVD: Temperature range: 500 to 1100°C; Gas mixing capability with mass flow controllers; Vacuum range: Atmosphere to 10-6 Torr.
Applications: Stress free silicon rich nitride deposition; LPCVD; Annealing; Oxidation; Diffusion.
The LC100 is a four-inch tubular furnace for annealing and LPCVD processes. It is dedicated to small-scale production and research applications. It can process up to 50 wafers per process.
The process chamber is made of a quartz tube with stainless steel flanges. The quartz boats are held by two quartz rods attached to the loading door. The high quality, low thermal mass, heater elements provide fast thermal response and low contamination. A high quality digital temperature controller controls the temperature of each heating zone.
The controller has auto-tuning capability and continuous parameter adaptation in case of process changes. This temperature control system provides highly accurate and reproducible thermal cycles. The system is provided with one purge gas line and can receive up to 6 process gas lines with mass flow controllers.

Plasma Enhanced CVD - PECVD

Mechanical processes: dicing saw, assembling (dice bonding, wire boding etc.)

Dicing saw equipment: Dicing saw with diamond blade EEM 225. Using this equipment it is possible to cut silicon wafers having the diameters between 2" and 5", ceramics and glass. The cutting deepness is programmable between 0 and 0.8mm. It uses diamond blades of 25 microns, 40 microns, 50 microns, 100 microns or 200 microns. It is possible to cut round and square surfaces. The speed used is programmable between 5mm/sec and 20 mm/sec. On this equipment it is possible to align the cutting line with the inter chip. The dimensions of the cutting line are in accordance with diamond blade thickness (for a thickness of 40 microns for the diamond blade the final inter chip will be 60-70 microns, for 50 microns the inter chip will be 80-100 microns, for 200 microns the inter chip will be 400-600 microns) The cutting can be done in one direction or in two directions using miller support or directly on  the chuck The dimensions between 2 chips can be between 10microns and 9.99mm . The spindle is 40000rot/min and it is possible to reduce it. The cooling system uses DI water. The equipment uses compressed air and vacuum. The main processor of the equipment is an 8080.

Laser Processing: lithography and micromachining by laser impulse

Biomolecules printing by nanoplotter (microarrays)

Gene Machines OmniGridMicro-NanoPlotter- from Genomic Solutions® Ltd., UK
is used for bio-chips development,  analysis of adherent cells or tissue slices for projects in nano-bio field as lab on chip, biochips; moreover with this equipment adhesives and liquid crystals can be disposed on various surfaces. A vacuum wash station ensures active washing in between sample transfers, humidity control minimizing evaporation of precious sample. The equipment has a print speed of 10,000 spots/11 slides in less than 3.5 hr (with optional split pin).

The dimensions of the existing pins is 200μm, the impress diameter is 300 μm. The precision of the robotic arm on x, y, z, directions-1μm, the dimension of standard support surface is 1"*3", but also silicon wafers (3 and 4 inch) can be used, the system is completely controlled by the computer: AxSys, Rai for Cartezian.

Characterization Services:

X-ray diffractometry

High performance last generation diffractometer theta- theta configuration; ultra RTMS detector. The X-ray diffraction technique offers a tool for direct observation of the interatomic bonding state in matter, a characteristic which cannot be found in any other analytical means.
X ray diffraction at large angles (WAXRD) with and without focalisation; with and without monocromatization, with and/or without analyzer crystal; X ray diffraction at low angles (SAXS); X ray reflectivity –XRR; microdiffraction ( very small quantities of investigated material, X ray spot size 20-50 microns); 9kW rotating anode; rated tube: 20- 45 kV; voltage- current: 10- 200mA;

UV-VIS Spectral Ellipsometry:

Spectral Ellipsometer (SE 800 XUV) SENTECH: Analysis and characterization equipment of optical constants (n and k) and  thickness for the nanometric thin films, interfaces, multi layer structures from different materials/dielectrics, conductive oxides, polymers, semiconductors.
- Spectral range (240-800) nm, with variable dimension of the spot from 4 to 0.1 mm and also of the angle (from 0 to 90 degree) with 0.01 degree accuracy.
- Operating software, SPECRARAY II/WINDOWS, enables the fast data acquisition and analysis of the measured data.
- The modular construction has the possibility of extension to IR range (until 2500 nm) and 2D- and 3D - representation of data.

Raman spectrometry
LabRAM HR - Raman Spectometer produced by HORIBA JOBIN YVON for physical and chemical material analyses. Raman spectroscopy is commonly used in chemistry, since vibrational information is very specific for the chemical bonds in molecules. It therefore provides a fingerprint by which the molecule can be identified. The fingerprint region of organic molecules is in the range 500-2000 cm-1. Another way that the technique is used is to study changes in chemical bonding, e.g. when a substrate is added to an enzyme.
Raman gas analyzers have many practical applications, for instance they are used in medicine for real-time monitoring of anaesthetic and respiratory gas mixtures during surgery.
In solid state physics, spontaneous Raman spectroscopy is used to, among other things, characterize materials, measure temperature, and find the crystallographic orientation of a sample.
As with single molecules, a given solid material has characteristic phonon modes that can help an experimenter identify it. In addition, Raman spectroscopy can be used to observe other low frequency excitations of the solid, such as plasmons, magnons, and superconducting gap excitations.
- Spectral range: 400nm –1050 nm, wave number range: 30 – 9500 cm-1;
- Spectral rezolution : 0.35cm-1/pixel for  laser of 633 nm and diffraction gratings of  1800 gr/mm ;
- Spatial rezolution of confocal microscope – laser beam diameter less than 1  mm and axial confocal performance better than 2  mm.

UV-VIS–NIR spectrometry - UV-VIS–NIR AVANTES Spectrometer: Optical spectroscopy is a technique for measuring light intensity in the UV-, VIS-, NIR-region. Spectroscopic measurements are being used in many different applications, ideal for absorbance, transmittance, reflection, fluorescence and irradiance. A spectroscopic instrument generally consists of entrance slit, collimator, a dispersive element, such as a grating or prism, focusing optics and detector. In a monochromator system there is normally also an exit slit, and only a narrow portion of the spectrum is projected on a one-element detector. In monochromators the entrance and exit slits are in a fixed position and can be changed in width. Rotating the grating scans the spectrum.
  Type: AvaSpec-2048 TEC (Thermo-electric Cooled Fiber Optic Spectrometer); Spectral Range:  200-1100 nm; Resolution: 0,5 nm; Light source : Deuterium-Halogen light source, 215-1700 nm, incl. TTL shutter; Detector:  2048 pixel TE cooled CCD detector, DCL-UV/VIS-Detector collection lens for AvaSpec-2048 to enhance sensitivity, Quartz; Accessories: CUV-UV/VIS(Cuvette Holder, 10 mm path, includes 2 UV/VIS/NIR collimating lenses and cover); FC6-UV400-2 (6 furcated  Fiber cable, 400 µm fiber, 2 m. length, SMA Terminations); FCR-22UV200/6UV400-2 (Reflection Probe, 22 illumination fibers 200 µm , 6 read fibers 400 µm fibers, common part 1 meter long, 7 legs 1 meter each, SMA Termination); Software: Automatic system for processing of dates AvaSoft-Full.

Near IR Spectrometry -
Avantes Fiber Optic Spectrometer - AvaSpec NIR256-2.2 and Software for Spectrometer - AvaSpec NIR256-2.2

The AvaSpec equipment is used in optical specra aquisition and characerization of thin fims luminescence emission.
The Spectrometer characteristics: Wavelength range: 200-1100nm, Resolution: 30 nm, Sensitivity (AvaLight-HAL, 8 microm fiber): 30counts/microW per ms integration time. Detector: CMOS linear array, 256 pixels.
Signal/Noise 2000:1 Integration time 2msec-60mseconds. The AvaSpec equipment is used in optical specra aquisition and characerization of thin fims luminescence emission.

IR Spectrometry/Spectroscopy
Spectrometer IR
with Fourier Transformation (Tensor 27)
Spectrometer IR with Fourier Transformation: FTIR (Fourier – Transform Infrared Spectroscopy) is a chemically analysis technique. It can be used to identify chemical compounds, and substituent groups. FTIR Type : Tensor 27; Producer Bruker Optics, www.brukeroptics.com/tensor;
Spectral Range: 4000-400 cm-1;
Resolution: 0,5 cm-1;Wavenumber Accuracy: 0.01 cm-1;
Photometric Accuracy 0.1 %T;
Scan Speed: 3 velocities, 2.2 - 20 kHz (1.4 - 12.7 mm/sec opd);
Interferometer: RockSolidTM, Permanent aligned, high stability;
Detector:  DigiTectTM detector system;
Source for MIR: Laser de HeNe;
Accessories: ATR accesory( ZnSe-Cristal materials, singel reflection) and transmission accesory;
Software: Automatic system for processing of dates OPUS

SNOM - Alpha300 S System is a Scanning Near-field Optical Microscope (SNOM) that combines the advantages of SNOM, Confocal Microscopy (CM) and Atomic Force Microscopy (AFM) in a single instrument. The Alpha300 S uses patented micro-fabricated SNOM cantilever sensors (aperture size typically 100 nm) for optical microscopy with spatial resolution below the diffraction limit (optical resolution of 50 – 100 nm). The SNOM cantilevers consist of a silicon cantilever with a hollow aluminum pyramid as a tip with the SNOM aperture at the apex of the pyramid.
The laser light used for optical imaging is focused into the backside of the hollow tip and then onto the sample.
The combination of Confocal Microscopy, SNOM and AFM in one instrument allows the use of confocal overview scans for quick and easy selection of the most appropriate sample area for the SNOM measurement.The SNOM mode can then be instantly accessed with a special SNOM objective holding the SNOM cantilever. While acquiring the SNOM image, the AFM topography is recorded simultaneously, which is a benefit of the cantilever sensors, enabling the beam deflection principle to be used for distance control. All standard optical modes such as transmission, reflection or fluorescence are available as well as all standard AFM modes (contact, alternative contact etc). For SNOM and confocal excitation, a variety of laser sources can be used for maximum experimental flexibility. To detect the scattered light, either a single counting photomultiplier or an avalanche photodiode detector can be used, both guarded by a high-speed overload protection system.
Applications: - imaging the optical properties of a sample with resolution below the diffraction limit with applications in nanotechnology, nanophotonics , nanooptics and plasmonics
- Life sciences
- Materials research.
- Single molecule detection.

White Light Interferometer - WLI (Fogale)

The white light interferometer perform optical, non-contact profiling of rough surfaces, that uses interferometric techniques as well as digital signal processing algorithms to produce fast, accurate, repeatable two and three-dimensional surface profile measurements. The method of determining surface height is based on white light as the source in an interferometer, and measurements of the fringe modulation degree, or coherence, instead of the phase of interference fringes. The white light interferometric profilometer uses the measurement of surface height through vertical scanning of the reference arm of the interferometer, and calculate the relative modulation of the intensity signal as a function of vertical position. The equipment present sub-nanometer vertical resolution (down to 0.1 nm) at all magnifications, non-contact measurements allowing accurate and repeatable results, all axes motorization enabling automatic stitching of multiples fields of view, sub-nanometric roughness measurements, reflectivity 1% to 100%, ability to measure transparent films and require no sample preparation. Characteristics: Black and white CCD camera; Nanometric objective translation unit; Capacitive sensor closed loop control, 500 µm range; White light and Monochromatic light source; Interferometric Objectives Michelson x2.5, x5; Interfrometric Objective Mirau x20; FOGALE Pilot 3D software (White light profilometry - 3 nm rms z resolution; Monochromatic light profilometry - 0.1 nm rms z resolution; Automatic step height and roughness measurement; Semi-automatic focus detection; Image and video capture; Results exploitation - heights, roughness, dimensions, field stitching, filtering, 3D view, bump size, height, roughness measurements; File input / output; Stitching software to provide mapping of large area, larger than the unit field of view); motorized zoom; Mems solution high voltage (Out of plane measurements: 1. by stroboscopic interferometry within range 100Hz - 2MHz, 2. by time averaged operation without frequency limitations; In plane measurements: 1. by stroboscopic illumination, 2. by image correlation - sub pixel resolution; Synthetized function generator : 2 channels controlled by Software - one channel for stroboscopic illumination, the other one for MEMS AC voltage supply; Output: sinus 10mV to 10mV, 1% resolution, 100Hz to 2MHz bandwidth; High voltage module - Amplitude up to 200v, Offset up to 200v, 1% resolution, Sinusoidal and square signal, Power 20 w, Current output max 100 mA

Scanning Probe Microscope (SPM) (Ntegra Aura) – NTMDT
This equipment enables several related techniques for imaging and measuring surfaces on a scale from microns down to the nanometric molecular level. 
The properties which could be characterized depend on the chosen technique and include 3D morphology, spatial distribution of electric and magnetic forces on surfaces, local conductivities, discrimination of phases and/or region with different elastic and/or tribological properties etc.
The system is a modular one that allows the user to configure it to meet the needs for a particular application. The microscope is provided with capacitive sensors for correcting position inaccuracies and with active antivibrational table.
Scanner resolution:  X,Y: 0,0004 nm, Z: 0,04 nm. Non-linearity in X, Y with closed-loop sensors < 0.15 %.
The microscope could be operated under different environments: air, liquid, controlled gaseous atmosphere, low vacuum (10-2 torr).
Currently Scanning Probe Microscopy (SPM) services are for 3 dimensional imaging of the topography and mapping of other physical properties of sample surfaces.  The range of samples that could be imaged is very large, covering metallic, ceramic, polymeric and semiconducting materials, with little or no preparation. Max. scan area: 100x100 microns.
Measurements could be done in ambient atmosphere or in controlled gaseous atmosphere.
Applications examples: Surface cleaning and polishing studies; High-resolution surface profilometry; Surface roughness measurements; Microstructural studies;

SEM and FEG-SEM:
- Nova™ NanoSEM 630. The Nova™ NanoSEM 630 high-quality nanoscale research tools for a variety of applications that involve sample characterization, analysis, prototyping. With a range of models that include SEMs and ESEM technology, this tool is extremely versatile and appropriate for use in many nanotechnology work environments.
• Ultra-high resolution characterization at high and low voltage in high vacuum: 1.6 nm @ 1 kV;
• Beam deceleration mode with sub-100 V and high surface sensitivity imaging;
• Low and very low kV backscattered electron imaging for compositional characterization in high and low vacuum;
• Novel high stability Schottky field emission gun enabling a beam current up to 100 nA for analysis;
• 150 x 150 mm high precision and stability piezo stage;
• True high resolution low vacuum FESEM, with a resolution of 1.8 nm @ 3 kV;
• The ultimate characterization solution for charging and/or contaminating nano-materials or –devices;
Electron optics: • High resolution field emission-SEM column;
• Resolution @ optimum WD (high vacuum)- 1.0 nm at 15 kV (TLD-SE)- 1.6 nm at 1 kV (TLD-SE)- 0.8 nm at 30 kV (STEM);
• Resolution @ optimum WD (low vacuum)- 1.5 nm at 10 kV (Helix detector)- 1.8 nm at 3 kV (Helix detector)
• Beam landing energy: 50 V - 30 kV;
• Probe current: 0.6 pA - 100 nA continuously adjustable;
• Max. horizontal field width: 4.0 mm at 5 mm WD (corresponds to 35 x minimum magnification in quad view); 5-axes motorized stage;
Applications on a variety of challenging nanotechnology materials such as metals, magnetic materials, nano-particles and powders, nano-tubes and -wires, porous materials (e.g. silicon), plastic Electronics, glass substrates, organic materials, diamond films, cross-sections etc

- Scanning Electron Microscope (SEM) - VEGA II LMU from TESCAN Sro.
VEGA\\LMU is a fully PC controlled scanning electron microscope designed for high as well as low vacuum operating. It has the possibility of examination of non-conducting, water containing specimens in their natural state at low vacuum conditions in microscope chamber. It features an innovative and powerful electron optics with four lenses. Resolution: 3nm at 30kV, accelerating voltage: 200V - 30KV, air table for vibration isolation, low vacuum (150 Pa) operation possible.
Scanning speed: From 160 ns to 10 ms per pixel adjustable in steps or continuously.
Image size: Up to 8,192 × 8,192 pixels, adjustable separately for live image (in 4 steps) and for stored images (10 steps), selectable square or 4:3 or 2:1 rectangle

Electrochemical characterisations (CV, SWV)
- Voltametric techniques equipment - TRACELAB 50, electrochemical system with TRACE MASTER 5 software. Radiometer Analytical´s polarographic analyser, coupled with Rotating Disc Electrodes (RDE), precisely determines the concentration of mineral and organic compounds for both routine and advanced applications. TRACELAB150 can be used for all the polarographic, and differential pulse measure techniques. POL150 polarographic analyzer has the following characteristics: measuring frequency: up to 200 Hz; maximum polarisation voltage: ±4 V (resolution of 1 mV); max. voltage output: ±9 V; max. current output ± 5 mA.

- Scanning Electrochemical Microscope (SECM)- an advanced biological scanning electrochemical microscope (Bio-SECM) an instrument that could examine chemistry at high resolution near interfaces.
The ElProScan is an electrochemical probe scanner for surface analysis and surface structuring. The system has the following features: high precision positioning system as combination of stepper motors and piezo translator; real-time encoder based position control; large scanning area; fully software controlled bipotentiostat/galvanostat for control and recording of potentials and currents from tip and sample.
The smallest resolution for a scan step is 15 nm and 100 nm for the high resolution and standard stepper motors, respectively. The scan speed ranges from 15 nm/s (high resolution motors) to 100 mm/s. Large scanning area: 50 mm in all directions.
Applications: • Surface Analysis: Imaging of the catalytic properties of electroactive surfaces like corrosion observation and investigation of catalytic materials; Imaging of local pH gradients; Imaging of enzyme activity in biological membranes; Imaging of exocytosis; Surface investigation in organic and aqueous solutions under temperature control
• Surface Structuring: Metal deposition in the micrometer/nanometer scale (galvanic and electroless); Local deposition of conductive polymers on surfaces in organic or aqueous solvents.

Impedance spectrometry
The PARSTAT® 2273 is the combination of renowned reliability, high current, and high compliance voltage of the 273A with the exceptional impedance capability, resolution, speed, and latest software techniques. 
The main applications are:
• electrochemical impedance spectroscopy (applications in materials selection and performance evaluation, to detect interfacial properties of catalysts and to determine the biological molecular such as protein and DNA or antigen-antibody interactions );
• corrosion analysis (for example to study the corrosion susceptibility of  metallic biomedical implant).
• (bio)sensor development: potentiometric sensors (such as ion-selective electrodes) and amperometric sensors (gas sensors, and chemically modified electrodes)
Characteristics: 2 A current max. (20 A boosted); 100 V compliance; 1.2 fA current resolution; >1013 Ohms input impedance; <5 pF of capacitance; 10 µHz to 1 MHz built-in analyzer for impedance

- Galvanostat: Voltalab 40 –electrochemical dynamical laboratory PGZ301 Universal Potentiostat. VoltaLab 40 is a dynamic system which combines outstanding performance and ease of use. It represents a major breakthrough in the field of ohmic drop compensation by offering dynamic compensation controlled by impedance measurement. It is the obvious choice for high-level researchers. It is the easiest to use and most versatile "all-in-one" potentiostat on the market and it is ideal for Electrochemical Impedance Spectroscopy combined with conventional methods such as Voltammetry.
Voltammetry ±30 V; Maximum compliance  voltage ±1 A; Measurement period 500 µs ; Max. scan rate 20 V/s; Power supply 115/230 Vac +15-18% 47.5-63 Hz 170 VA

Fluorescence spectrometry.

Nanoparticle spectrometry (size analysis and Zeta Potential).

Microarrays Nanoscanner (microarrays)

GeneTAC UC4 Microarray Scanner – this equipment is used for reading the chips, for DNA or proteins detecting and deposition. This two-color system includes green (532nm) and red (635nm) lasers coupled with high performance optics optimized to maximize collection of fluorescence signal and minimizing the damage caused by photobleaching. The scanner includes hardware and powerful and easy-to-use microarray analysis software for fast and reliable imaging, collection and storage of very large data sets.

Simulation, Modelling and Computer Aided Design Services

Microsystems simulation, modelling and design (including microfluidics);

• Mask Design, Process Editor, 3D construction and mesh § Modelling / optimization of technological process
• Simulation of MEMS: electrostatic, electroquasistatic, mechanical, thermo-mechanical, piezoelectric, piezoresistive, coupled electromechanical, elecro-thermo-mechanical
• Simulation of Microfluidics: general flow, fluid mixing, thermal, electrokinetic, multi-species chemical, two-phase flow with droplet / bubble formation. Training and consultancy in design, modelling and simulation of micro and nanosystems and in using specific software packages

Software:
COVENTORWARE: CoventorWare2006
– dedicated software for modeling and simulation in the field of MEMS and Microfluidics. Its main features include: DESIGNER; ANALYZER
Include MEMS type modules and Microfluidic type modules
Additional modules for CoventorWare software suite is a multi-domain simulator for devices, components or systems, including physical models, control models and electronics. It allows a rapid architectural exploration of different design alternatives.
Modules: MemHenry; MemOptics; MemPackage; SpringMM; FlowMM

COVENTORWARE 2008 is a suite of software tools dedicated to microsystems design and analysis. It contains design modules, as Architect and Designer, and simulation tools for MEMS analysis type (electrical, thermal, mechanical, piezoelectric, electrostatic, coupled-field analysis) and microfluidic analysis type (general flows, electrokinetics, chemical reactions, two-phase flow, coupled fluid – structure interaction). It also contain a semiconductor simulation tool.

ARCHITECT - a schematic-based system-level modeling environment used to quickly explore design alternatives and optimize performance.
DESIGNER - a physical design tool that contains a 2-D layout editor, materials property editor and database, as well as an automatic 3-D model generator. The 2005 update includes a new process entry user interface designed with both the process engineer and MEMS designer in mind. There is also a ready to use library of foundry processes available.
ANALYZER - a multi-physics numerical analysis framework - MEMS and microfluidics analysis:

    MEMS modules: MemElectro (electrostatic, electroquasistatic), MemMech (mechanical, termo-mechanical, piezoelectric), CoSolveEM (coupled electro-mechanics), MemPZR (piezorezistive), MemHenry (inductance)
    Microfluidic modules MemCFD (fluid flow under pressure drop), Netflow (electrokinetic), SwitchSim (electrokinetic; it allows potential swich for probe manipulation), ReactSim (surface and volume chemical reactions in fluid media), MemFSI (coupled fluid – structure interaction), Bubble/Sim and DropSim (two-phase flow)  

SEMulator3D semiconductor software (manufacturing provides a fast, easy-to-use and inexpensive way to generate silicon-accurate interactive 3D models of the films, structures and devices actually produced in a wafer fab.  This enables semiconductor manufacturers to optimize their designs and processes, achieve faster time-to-market, and lower production costs
EM3D (Electromagnetic 3D Solver) is a novel, full-featured, frequency-domain full-wave simulation tool tailored to efficiently accomplish the analysis of quasi-planar structures- specifically planar structures over complex substrates, where the finite conductors' thickness and the dielectric discontinuities are accounted for with no compromise

Applications: MEMS (sensors, actuators, accelerometers), Optical MEMS, RF-MEMS, microfluidic microsystems as micropumps, micromixers, microfilters, reaction chambers used in lab-on-chips for pharmaceutical research, medical diagnosis and treatment (medical imaging, drug delivery), genomics, ink-jet devices.

SolidWorks Office Professional  - SolidWorks Office Professional: allows 2D and 3D design of complex geometries, capable to export CAD files to other simulation software tools; it has additional modules for projects reporting and for growing the productivity of CAD and PDMWorks.

Ansys Multiphysics 11.0
Software simulation tool for general purposes; it has the advantage of being very useful in a multitude of domains (simulations in a large range of scale domain, from micro to macro); it has also the advantage of flexibility regarding the user control on many parameters.

TransMagic STANDARD TransMagic STANDARD TransMagic offers a complete line of 3D CAD translation software products with functionality for translation, file repair, viewing, collaboration and high-speed, unattended batch processing. Supported File Types Include: CATIA V4, Unigraphics, Pro/ENGINEER, Parasolid, IGES, STEP AP-203 & AP-214, ACIS, HSF, and STL.

FPLO CODE, software for electronic band structure calculation - The FPLO package is a full-potential local-orbital minimum-basis code to solve the Kohn-Sham equations on a regular lattice using the local spin density approximation (LSDA). The situation of a chemically disordered structure is covered by a CPA solver, relativistic effects can be treated in a related 4-component code, and the LSDA+U formalism is implemented. (license from IFW Dresden, Germany).

Mathematica: Software for: Mathematical Functions, Equation Solving, Matrices & Linear Algebra, Statistics, Polynomial Algebra, Discrete Mathematics, Number Theory, Logic & Boolean Algebra, Computational Systems, Data Visualization, Symbolic Graphics Language, Computational Geometry.
Application: Numerical and symbolic mathematics, image and graphics processing, engineering and science calculations for modelling.

Matlab: Basic License + additional modules: Simulink, Curve Fitting Toolbox, Extended Symbolic Math, Genetic Alghoritms, Image Processing, Neural Network, Optimization Toolbox,  Signal Processing, Spline Toolbox, Statistic Toolbox.
Application: high-level language and interactive environment for algorithm development, data visualization, data analysis, and numeric computation, signal and image processing, communications, control design, test and measurement, financial modeling and analysis, and computational biology.

OriginPro 8: Analysis tools for statistics, 3D fitting, image processing and signal processing.
Application: Data analysis and graphing workspace for scientists and engineer

Photonic components simulation, modelling and design;

• Simulation/modeling and design services of various devices and microoptical, photonic and advanced optoelectronic circuits and devices by using industrial standard commercial software products.
• Design and modeling complex photonic components and systems by combining commercial software products and software applications developed in IMT. Training activities in design and simulation of active and passive photonic components.

Specific applications:
• Microoptical components: microlens and microlens systems, diffraction grating, diffractive optical elements.
• Photonic circuits and devices: waveguides,  microring resonators, couplers.
• Multiplexing/demultiplexing devices, photonic crystals, metamaterials, plasmonic devices, nonlinear passive devices, integrated optics structures.
• Optoelectronic devices: lasers, optical modulators, logical gates, nonlinear active devices.

Software:
Opti FDTD 8.1 - dedicated software based on finite difference time domain (FDTD) algorithm for design and simulation of advanced passive and nonlinear photonic devices, optical filters and resonators, photonic band gap systems and various metamaterials. OptiFDTD enables the modeling and analysis of the wave propagation, scattering, reflection, diffraction, polarization and the nonlinear phenomena. It provides a broad material choice including dispersive and nonlinear properties, extensive excitation selection, and delivers powerful Post-Data Processing. hardware needs 64 bits taking advantage of multicore arcthitectures. Allows realistic simulations of 3D structures with effective material constants. Applications: OptiFDTD enables the simulation of photonic band gap materials and devices, optical micro-ring filters, and resonators, grating-based waveguide structures.

Opti-HS - components and of active devices based on semiconductor heterostructures;

OptiBPM  9.0 (Optiwave Corporation Canada)  is the computer-aided design software tool based on the Beam Propagation Method (BPM), enabling design of complex optical waveguides, performing waveguiding, coupling, switching, splitting, multiplexing, and demultiplexing of optical signals in a variety of integrated and fiber optic guided wave applications.
Applications:• Accommodates waveguides integrated on a substrate, including channel waveguides, rib or ridge waveguides, buried waveguides, or waveguides from a diffused process;
• Facilitates design of Splitters, Combiners, Couplers, Modulators, Multiplexers, and AWGs;
• Models both waveguide based devices and integrated circuits (in conjunction with OptiSystem);

OptiGrating- design software for modelling integrated and fiber optical devices that incorporate optical gratings. Applications: - Design of photonic biochemical sensors based on waveguide grating devices; - Design of optical communication devices based on waveguide grating.

Microwave and millimeter wave circuits and microsystems design and modeling;

• Microwave and millimeter wave circuits and components modeling
• Micromachined circuits for microwave and millimeter wave design and electromagnetic modeling (inductors, capacitors, switches, filters, antennae, receiving modules etc)

Software:
IE3D and FIDELITY (Zeland Ltd. USA) software package - Version 2007-12-12 electromagnetic simulation software based on method of moments for tridimensional structures in the microwave and millimeter wave frequency range

IE3D is a full-wave, method-of-moments based electromagnetic simulator solving the current distribution on 3D and multilayer structures of general shape. It is widely used in the design of MMICs, RFICs, LTCC circuits, microwave/millimeter-wave circuits, IC interconnects and packages, SoP, SiP, HTS circuits and filters, multilayer PCBs, RFID antennas, microstrip antennas, wire antennas, and other RF/wireless antennas. IE3D is seamlessly integrated with Microwave Office of AWR. It also has the Automatic Geometry to IE3D Flow (AGIF) linking IE3D to Cadence Virtuoso, Allegro and APD. The latest IE3D Release 12 offers the FastEM Design Kit for real-time full-wave EM tuning, optimization, and synthesis.

FIDELITY is a full-wave and conformal FDTD (Finite-Difference Time-Domain) EM Simulator for complicated 3D structures of arbitrary shape and materials. It has a wide range of applications in the design of microwave circuits, RF printed circuits, comb-line filters, microstrip antenna, horn antennas, slotted-waveguide antennas, wireless/RF antennas, IC packaging, EMC and EMI structures, biomedical effects of EM waves, and other high-speed and high-frequency circuitry.




Micro and Nanotechnologies Bulletin
(quarterly, since 2000)

Designed for 1024x768 Resolution
Last update: December 9, 2008
Webmaster